Intel Launches ‘World’s First Systems Foundry’ With Expanded Road Map To Take On TSMC, Samsung

An Intel Foundry executive says the contract manufacturing business will treat the company’s product groups and external chip designers equally as customers, which means they will have to compete for capacity. But the new arrangement will have benefits for Intel’s own products, mainly by ‘driving down the cost for everyone.’

Intel has marked the official launch of its contract chip manufacturing business to compete against Asian foundry giants TSMC and Samsung, calling it the “world’s first systems foundry” for the AI era and disclosing its next-generation Intel 14A process node that will arrive in 2026.

Unveiled Wednesday, the Santa Clara, Calif.-based company is now calling the contract chip-making operation Intel Foundry rather than the original name it provided, Intel Foundry Services, when CEO Pat Gelsinger declared in early 2021 his ambition to build a significant business from manufacturing chips designed by other companies in addition to the products it develops.

[Related: Partners: Intel’s AI Efforts Could Get Boost From Kimrey’s New Sales Group]

Intel Foundry is a key part of Gelsinger’s comeback plan and IDM 2.0 strategy for Intel. An evolution of its integrated device manufacturing model, IDM 2.0 calls for increased investments in manufacturing in addition to revitalizing its contract chip manufacturing efforts and expanding its use of external foundries in instances where it will benefit Intel’s products.

Reiterating its goal of displacing Samsung to become the world’s second-largest foundry by 2030, the semiconductor giant said it remains on track with Gelsinger’s plan to introduce five advanced manufacturing nodes in four years to regain “process performance leadership.” Each successive node offers a significant increase in performance-per-watt.

These nodes are offered to Intel’s own chip design teams, such as the teams for Intel Core and Intel Xeon, as well as external chip designers. Both groups will be treated as customers and, as a result, will have to compete for business with Intel Foundry as part of the internal foundry model the company adopted at the beginning of this year, according to Craig Orr, vice president and general manager of marketing and product for the chip manufacturing business.

This means an external chip designer could take away manufacturing capacity from Intel’s own product groups by placing a large enough order with Intel Foundry.

In a briefing, Orr said this ensures there is “clarity in terms of financials” for Intel Foundry, which will become a reportable segment with the company’s upcoming first-quarter earnings report, so that the business is “able to benchmark and get very competitive against” other foundries.

It also shows potential and existing customers of Intel Foundry that it’s independent from the rest of the semiconductor giant’s businesses, he added.

“It helps our customers feel the trust because we’re now independent, we can make decisions about capacity allocations, technology, preferences and so on independently based on what’s best for that [business with a profit and loss statement],” Orr said.

However, Orr said, the development of the contract chip manufacturing business through Intel Foundry is expected to bring benefits for the company’s own products. That’s because with technology development becoming more expensive with each node transition, the addition of external customers for Intel Foundry will help “drive down the cost for everyone.”

Orr also referenced Intel’s strategy to provide chip designers with an expanded chip manufacturing capacity in the West to offset the heavy concentration of foundry plants in Asia. He also said Intel Foundry aims to become the industry’s “most sustainable foundry” for which the company has made great progress by largely relying on renewable energy for its fabs.

“There's also a great benefit to the industry in the sense that you get this sustainability benefit and the resilience of your supply chain,” he added.

Intel Reaffirms Plans For Intel 3, Intel 20A And Intel 18A

With Intel having introduced products on the first two nodes in Gelsinger’s plan—Intel 7 and Intel 4—the company said that the next process, Intel 3, is ready for high-volume manufacturing. The first product to use Intel 3 is a new generation of Xeon server CPUs with a focus on high core density that is code-named Sierra Forest, and it’s set to launch in the first half of this year.

The final two nodes in Gelsinger’s node acceleration plan, Intel 20A and Intel 18A, are on track to become ready for manufacturing this year, with the latter node expected to give Intel an edge over the most advanced processes from TSMC and Samsung by 2025, the company reiterated.

Orr said Intel Foundry customers will be able to start designing products today with Intel 18A, with full product design expected to be enabled in the second quarter. One of the first products to use Intel 18A, a follow-up to Sierra Forest called Clearwater Forest set to launch in 2025, has taped out, a crucial step before manufacturing can begin, he added.

“The reason this is so significant for foundry customers is because it demonstrates the foundation of building blocks upon which we believe other future systems for AI will be made,” Orr said.

Intel Announces Microsoft As Intel 18A Customer

Intel announced Wednesday that Microsoft will become a customer for a chip design it plans to manufacture on the Intel 18A process.

“We are in the midst of a very exciting platform shift that will fundamentally transform productivity for every individual organization and the entire industry,” Microsoft Chairman and CEO Satya Nadella said in a statement.

“To achieve this vision, we need a reliable supply of the most advanced, high-performance and high-quality semiconductors. That’s why we are so excited to work with Intel Foundry, and why we have chosen a chip design that we plan to produce on the Intel 18A process,” he added.

Intel reiterated that it has other foundry design wins with customers across Intel 18A, Intel 3 and the mature Intel 16 node.

Intel Reveals Expanded Process Road Map

For the first time since 2021, Intel provided an update to its process road map.

The company not only revealed that it will follow up Intel 18A with Intel 14A, which Orr said will enter commercialization in late 2026 and is expected to be the first node to use an advanced form of lithography called High NA EUV (Extreme Ultraviolet).

The semiconductor giant also disclosed that it’s developing modified versions of Intel 3, Intel 18 and Intel 14A that have been optimized to improve performance, introduce new features or implement its Foveros Direct 3-D stacking technology for advanced chip designs.

For Intel 3, the company plans to extend the node this year with Intel 3-T. The “T” suffix indicates that it will use Foveros Direct to connect chips with a technology known as through-silicon vias.

Orr said Foveros Direct will “become the foundation for future AI technologies.”

Beyond 2024, Intel will follow with Intel 3-E, a version with new features, and Intel 3-PT, a version that uses Foveros Direct and comes with performance improvement, which is indicated by the “P” suffix. The company also plans to introduce Intel 18A-P and Intel 14A-E.

“What we're doing is we're going to have a road map for our customers to address new markets and new market segments and improve their offerings while we get to keep our fabs full from this new road map,” Orr said.

Intel Says ‘Systems Foundry’ Approach Sets It Apart

Intel said the “systems foundry approach” of its contract chip manufacturing business sets it apart from rivals by offering “full-stack optimization from the factory network to software.”

This is especially important for the fast-growing AI computing space, which Orr said is “not scalable” today with the way current systems, technologies and supply chains are set up.

“We're not going to be able to bring AI everywhere the way the industry operates today,” he said.

This is a problem, according to Orr, because “with the number of computations needed to train an AI model” doubling every 10 months, “the number of chips being shipped for AI are growing at a commensurate rate, although a little bit less because of supply challenges, year over year.”

“And if you were to take those AI chips that are going to be shipped next year and turn them on for 60 percent of the time running at the rated power, it'll consume more power and more electricity than 61 percent of countries in the world,” he added.

To enable the growth rate for AI computing with the “scalability and efficiency that’s needed” to enable it everywhere, Intel Foundry is providing system technology co-optimization, Orr said.

This means the foundry business is developing several layers of technologies in concert with each other, from the process technology, transistors and interconnects; to the design platform, core and accelerator technologies and advanced packaging technologies; to the system architecture, software and applications and workloads, according to the executive.

“We think we're really well suited to create this next generation of systems that will help our customers and our industry commercialize AI and do so in a way that's sustainable,” he said.