Intel Promises ‘Performance Leadership’ By 2025 With New Roadmap

The semiconductor giant is promising a return to ‘process performance leadership’ by 2025 with a new roadmap that is shaking up node naming conventions, full of new technologies and gaining the support of one major competitor.

ARTICLE TITLE HERE

Intel CEO Pat Gelsinger is promising that the company will return to “process performance leadership” with a new roadmap that is shaking up node naming conventions, full of new technologies and gaining the support of one major competitor.

The Santa Clara, Calif.-based company revealed the new roadmap during its Intel Accelerated webcast on Monday as part of Intel’s IDM 2.0 hybrid manufacturing strategy and Gelsinger’s promise to make the company the “unquestioned leader in process technology” following manufacturing missteps that resulted with the semiconductor giant ceding ground to chip manufacturers TSMC and Samsung.

[Related: The 10 Biggest Intel News Stories Of 2021 (So Far)]

id
unit-1659132512259
type
Sponsored post

Gelsinger called the new roadmap “one of the most detailed roadmaps” Intel has ever provided for process and packaging technologies, and it consists of the four manufacturing processes that will come after Intel’s 10-nanometer SuperFin process, which powers the 11th-generation Core mobile processors, known under the code name Tiger Lake, that debuted last year.

“We’re in a clear path to process performance-per-watt parity in [2024] and leadership in [2025],” Gelsinger said in the webcast. “Coupled with the ongoing leadership in packaging, we’re in a great position to achieve unquestioned leadership for our customers [and] our industry.”

The first node after 10nm SuperFin is called Intel 7, which the company previously referred to as 10nm Enhanced SuperFin, and it will power the previously announced Alder Lake processors for client computers, due out later this year, and the previously announced Sapphire Rapids processors for data centers, set for production in the first quarter of 2022. Intel is promising a 10-15 percent increase in performance-per-watt over 10nm SuperFin, thanks to new FinFET transistor optimizations.

The second node is called Intel 4, which was previously referred to as Intel’s 7nm process, and it will go into processors that will enter production in the second half of 2022 and start shipping in 2023, which includes Meteor Lake CPUs for clients and Granite Rapids CPUs for data centers. Intel is promising a roughly 20 percent performance-per-watt increase over Intel 7 as well as area improvements, thanks to the node’s use of EUV lithography to “print incredibly small features using ultra-short wavelength light.”

The third node is Intel 3, which will power processors entering production in the second half of 2023 and will provide a roughly 18 percent performance-per-watt increase over Intel 4, thanks to further optimizations in FinFET transistors and increased use of EUV.

The fourth node is Intel 20A and will usher in what Gelsinger called the “angstrom era” of processors when it starts powering processors in 2024. The node will make use of what Intel called two “breakthrough” technologies, PowerVia and RibbonFET. Intel said PowerVia is a “unique, industry-first implementation of backside power delivery,” which will remove the need to route power on the front side of the wafer and provide “optimized signal routing.” RibbonFET, on the other hand, is the company’s first new transistor architecture since FinFETs were introduced in 2011.

Beyond that, Intel is working on the Intel 18A node for early 2025, which “will deliver another major jump in transistor performance,” thanks to further refinements to RibbonFET. It will also make use of a next generation version of EUV.

As part of Intel’s plan to manufacture chips for other companies with its new Intel Foundry Services business, the semiconductor giant said the Intel 20A node will be used by Qualcomm, which has set its sights on becoming more competitive against Intel in the client computing space.

Intel also disclosed two new versions of its Foveros 3D chip stacking technology. Set for volume manufacturing in 2023, Foveros Omni is the next generation of the technology, and it will enable die disaggregation and “mixing multiple top die tiles with multiple base tiles across mixed fab nodes.” Also set for 2023, Foveros Direct will serve as a complementary technology and will employ the use of direct copper-to-copper bonding to significantly increase the interconnect density for 3D chip stacking.

Amazon Web Services will be the first customer to make its own chips using Intel’s packaging technologies through the Intel Foundry Services business, Intel disclosed.